首页 文章

在systemverilog中创建4Kb数据结构

提问于
浏览
-2
  • 在system-verilog中创建一个4千字节的数据结构

  • 如何将这4千字节空间分成每个位置128位

2 回答

  • 0

    在SystemVerilog中使用, struct 类型 .

    例如512位咬合数据结构,

    struct { 
       bit [127:0] part1; 
       bit [127:0] part2;
       bit [127:0] part3;
       bit [127:0] part4;
    } largePart_512;
    

    请注意,您必须使用 largePart_512 访问此结构,

    第1部分 - largePart_512[127:0]

    第2部分 - largePart_512[255:128]

    第3部分 - largePart_512[383:256]

    第4部分 - largePart_512[511:384]

  • 1

    创建一个内存,每个字为128位,深度等于4096/128:

    logic [127:0] mem [4096/128];
    

相关问题