首页 文章

在Verilog和Systemverilog中$ realtime返回多少位?

提问于
浏览
0

在Verilog和Systemverilog中$ realtime返回多少位?

2 回答

  • 2

    $realtime 不返回位,它返回一个双精度浮点数,它需要1位用于符号,11位用于指数,52位用于尾数 . 您无法访问实数的各个位,因此总位数无关紧要 .

  • 0

    来自sutherland hdl快速参考 . 文档中的第40页,在您的pdf查看器中为44

    http://www.sutherland-hdl.com/pdfs/verilog_2001_ref_guide.pdf

    $ time $ stime $ realtime分别以64位向量,32位整数或实数形式返回当前模拟时间 .

    返回的值取决于您的 timescale . 即如果时间刻度为1ns / 1ps,并且你跑了1us,你将返回1,000.000 .

相关问题