首页 文章

VHDL无约束阵列的无约束阵列

提问于
浏览
1

我想有一个2D数组,其中两个维度都是根据实体泛型来确定的,我想将这些在我的设计中传递到其他组件的输入/输出端口 .

简单的解决方案一直是创建一个定义了常量的包,它将在包中创建正确大小的类型......并在需要该类型的任何地方使用该包 .

问题是,当用户为Xilinx EDK实例化我的pcore时,用户可以在“Customize IP”界面中配置这些选项,这些选项将作为泛型实例化到我的设计中 . 用户无法配置IP并使设置更改包中定义的常量 .

有没有办法纯粹从实体泛型中做到这一点?

1 回答

  • 0

    这可以在VHDL-2008中使用包通用,也可以直接使用无约束数组的无约束数组 . 不幸的是,您将不得不等待合成供应商支持在将来的某个时间使用它 . 现在,您将不得不将其展平为一维数组并切割出模拟二维所需的线段 .

相关问题