首页 文章

使用VHDL控制LED的亮度

提问于
浏览
0

我是VHDL编程的初学者 . 最近,我想使用一个连接到FPGA板的4个LED的应用程序开发VHDL组件 .

通过控制字/字节控制FPGA,需要为LED实现以下功能:

4个LED的亮度可以控制在10%,30%,60%,85%,频率为0.2Hz,0.5Hz,1Hz,2Hz .

应该重复所选择的功能,直到给出另一个控制字节 .

任何帮助或提示将非常感谢解决任务 .

1 回答

  • 0

    您需要pwm(脉冲宽度调制)信号才能达到所需的亮度 . 然后以给定的频率模式打开和关闭该信号 . 正如Oldfart所提到的,这不是一个设计中心 . 我们没有时间也没有动力去做你的工作 . 我建议你开始编码,如果你卡在某个地方,我相信你会得到帮助来解决你的问题 .

相关问题