首页 文章

ModelSIM:用VHDL调试SIGNAL

提问于
浏览
1

我正在使用VHDL代码处理大量的SIGNAL,我应该能够在ModelSim的仿真中看到它来调试我的设计 .

我的问题是,是否有必要在我的顶级实体上声明输出,以便我可以连接它们以访问那些内部SIGNAL,还是有其他方式从ModelSim访问它们?

1 回答

  • 1

    If you can evaluate your design model interactively

    您可以使用波形转储显示来调试您的设计吗?您通常可以查看设计层次结构中的任何节点 .

    If you need algorithmic or programmatic access for verification

    你的Modelsim -2008符合标准吗?为了在未合成的测试平台或块中进行验证,可以使用外部名称 .

    参见IEEE Std 1076-2008,8.2外部名称 . 您可以通过提供路径名来访问信号,变量和常量 . 外部名称也在Peter Ashenden和Jim Lewis的书VHDL 2008 Just the New Stuff,第2章中有所描述 .

    In Modelsim

    show命令可以访问信号,进程,常量,变量和实体 . 请参阅Modelsim参考手册,命令,show . 可以从命令行或宏文件中输入命令 .

相关问题