首页 文章

(Verilog)Spartan-6反相输出LED

提问于
浏览
-1

对Verilog和FPGA来说很新 . 我无法围绕输出到Spartan-6板的过程,并可以做一个书面解释 .

我所做的只是一个简单的AND门输出到LED .

module andgate(
input a, b,
output q
);

assign q = a&b;

endmodule

输入开关为SW1和SW2(均设为上拉),输出LED为D1 .

程序文件在电路板上运行得很好,除了输出被反转 - 当没有按下开关时,LED亮起 . 我知道这是由于需要上拉电阻,但我只想按下两个开关时LED指示灯亮起 .

如何重新编写代码或重新配置电路板以显示所需的输出?

我发现写作了

assign q = ~a&~b

给出我想要的结果,但是有更好的方法吗?

1 回答

  • 0

    这取决于您的电路板原理图,您的LED是高电平有效还是低电平有效 .

    从行为来看,你已经描述了当没有按下时你的按钮被拉起来 . 如果按下按钮,其中一个输入会得到一个低电平信号,结果函数结果为零,并且指示灯熄灭 .

相关问题