首页 文章

问:VHDL实现了2个简单的函数

提问于
浏览
0

我正在寻找实现y = a和b的函数; y =(a或b)和(c或d) .

图书馆IEEE;使用IEEE.STD_LOGIC_1164.ALL;使用IEEE.NUMERIC_STD.ALL; entity task1_tb是 - Port(); end task1_tb;架构task1_tb的行为是 - 声明组件组件task1端口(a:在STD_LOGIC中; b:在STD_LOGIC中; y:在STD_LOGIC中);最终组件;信号y,a,b:std_logic;信号计数器:无符号(1 downto 0):=“00”; begin uut:task1 port map(a => a,b => b,y => y);结束行为;

如何分配(位1)和b(位2),以便测试可能的值并在每个组合之间产生20ns的延迟?过去两天我一直在努力学习VHDL用于学校项目,甚至不确定我拥有的是否正确 . 如果有人可以提供帮助那就太棒了 .

谢谢!

1 回答

  • 1

    您希望在刺激过程中使用 wait for <duration> .

    process
    begin
       for i in 0 to 2**2-1 loop --2**(number of input bits)-1
          (a, b) <= to_unsigned(i,2);
          wait for 20 ns;
       end loop;
       wait;
    end process;
    

    感谢用户1155120进行改进 .

相关问题