首页 文章
  • 1 votes
     answers
     views

    头文件中的Verilog函数声明

    当我尝试编译包含一个包含函数声明的头文件的测试平台时,Icarus Verilog(v10.0 stable)中止并出现以下错误: mpeg.vh:133: error: function declarations must be contained within a module. 这个错误非常清楚 . 但是,头文件实际上包含在模块(测试平台)中 . 由于include指令应该只被相应头文件中...

热门问题