首页 文章

将STD_LOGIC连接到一位STD_LOGIC_VECTOR

提问于
浏览
1

我正在使用Xilinx ISE并使用CORE Generator和Architecture Wizard生成内存 .

问题是它创建了一个写使能信号( wea )作为STD_LOGIC_VECTOR(0 downto 0)并导致类型不匹配:

Line ###:在encnt附近输入错误;当前类型std_logic;期望的类型std_logic_vector

如何将 encnt (std_logic)转换为一位std_logic_vector?

(ISE不允许我从内存文件中更改wea . )

1 回答

  • 3

    对于这些IP块,这是一种非常常见的情况 . 您可以轻松地将 std_logic 信号关联起来,如下所示:

    wea(0) => encnt,
    

    您只需关联一个元素 (0) ,而不是将 wea 整体关联起来 . 由于 wea 只有一个元素,因此分配整个向量 .

相关问题