首页 文章

使用USB为FPGA提供输入数据

提问于
浏览
0

我正在使用Xilinx Spartan 3E平台,使用此开发板:

http://www.xilinx.com/products/boards-and-kits/HW-SPAR3E-SK-US-G.htm

我的程序对某些数据进行操作,然后提供输出 . 我希望从外部传输输入信号 . 输入数据是8位信号流 .

那么,如何通过USB将笔记本电脑的输入信号发送到FPGA? Xilinx是否支持此功能或是否有标准软件来执行此操作?

谢谢 .

2 回答

  • 2

    这听起来像是在描述一个比本机USB接口更多的uart . 您可以获得一个USB转逻辑级串行适配器,它可以让您轻松地以高达921.6k波特的速率与Pc之间传输数据 . up /串口易于在Fpga中实现,PC易于与串口一起使用 .

    这是电缆:http://www.ftdichip.com/Products/Cables/USBTTLSerial.htm如果您有开发卡,则很可能存在这种类型的接口 .

    在软件方面,您可以使用您选择的编程语言,就好像它与密封端口接口或使用终端程序,如超级终端或下载teraterm http://ttssh2.sourceforge.jp/

    更新响应:100Hz不是一个硬接口 . 按照这个速度,你应该尽可能使用串行接口 . 您引用的板有2个完整的RS-232连接 . 此时,您只需要一种方法将其连接到您的计算机 . 如果你有一台带有RS-232接口的电脑你只需要一根电缆,如果你有一台较新的电脑而你不需要RS-232转USB转换器电缆(比如这个:http://search.digikey.com/scripts/DkSearch/dksus.dll?Detail&name=768-1014-ND或google rs232 usb) . 这将为您提供PC上的虚拟COM端口,以与前面提到的终端程序或您的自定义软件进行交互 .

    更新2:在您链接到的开发板页面的资源选项卡上有几个基于UART的fpga设计,您应该可以将它们作为起点 . 即“PicoBlaze处理器SPI闪存编程器” .

  • 2

    据我所知,该板无法从FPGA轻松访问USB接口 . 它仅用于配置和调试 .

    一些较新的电路板和工具确实允许称为硬件在环测试,其中模拟器可以将数据上传到FPGA,等待它计算结果,然后将数据拉回来 . 这在使用Xilinx的System Generator产品时相对常见,因为模拟可能非常长 .

    但我认为使用该板,您最好使用板载RS232端口从板上获取数据 . 您必须自己构建基础架构才能自己完成 .

    这也可能会给你一些想法:

    http://www.1pin-interface.com/

相关问题