首页 文章
  • 0 votes
     answers
     views

    4位幅度比较器VHDL

    我必须在VHDL中制作一个4位大小的比较器,只有并发语句(没有if / else或case / when) . library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity Exercise is port ( A : in std_logic_vector (3 downto 0); B : in std_logic_vector (3 ...

热门问题