首页 文章
  • 3 votes
     answers
     views

    Arduino序列:倒置7E1 . 可能?

    我正在尝试与SDI-12设备进行串行通信,它需要反转七个数据位,偶校验和一个停止位(7E1)串行,1200波特 . 从数据表: SDI-12通信以每秒1200位的速率发送字符 . 每个字符有1个起始位,7个数据位(LSB优先),1个偶校验位和1个停止位(低电平有效或反向逻辑电平):所有SDI-12命令和响应必须符合数据线上的以下格式 . 命令和响应都以地址开头,并由回车换行组合终止 . 这可能...

热门问题