我正在尝试学习VHDL的语法 . 我用计数器和LED写了一个简单的算法 . 在端口部分,我有... LED:OUT STD_LOGIC_VECTOR(7 downto 0);

在端口下面,我有...信号cntr:自然范围0到(2 ** 30);

我有一个增加cntr的过程 .

在这个过程之后,我有...... LED(7 downto 0)<= std_logic_vector(to_signed(cntr(29 downto 22)));

我试图使LED闪烁以显示计数 . 我在上面的行中需要帮助,我试图将信号转换为std_logic_vector并将8位与LED匹配 .