首页 文章
  • 0 votes
     answers
     views

    无法使用ghdl执行/运行任何vhdl代码

    我在Ubuntu 10.10中安装了ghdl(使用存储库中的apt-get)并使用了ghdl手册中提供的hello_world示例 . 我可以成功分析(-a)和详细说明(-e)但是当我尝试运行/执行(-r)它时,我收到以下错误:/ usr / lib / ghdl / bin / ghdl:编译错误 为了详细说明我的问题,我在最后提到了vhdl代码,并在下面提到了命令序列: $ ghdl -a ...
  • 2 votes
     answers
     views

    VHDL包'IEEE.std_logic_arith'是否附带ghdl?

    我试图用GHDL模拟Xilinx GTXE2收发器 . 在GTXE2_CHANNEL.vhd中,我收到一个错误,即在'ieee'库中找不到'std_logic_arith' . 首先,这是我的机器设置: Windows 7(专业版,x64,德语) PowerShell 4.0 Python 3.4 Xilinx ISE 14.7(x64,英语) Xilinx Vivado 20...
  • 2 votes
     answers
     views

    在VHDL中定义一个类型以保存两个整数之和的正确方法

    我正在尝试编写一个带有两个整数输入和一个选择输入的非常简单的模块 . 当select为0时,输出应为输入之和,当select为1时,输出应为它们的差值 . 我将使用GHDL通过简单的测试台验证模块 . 该模块不必是可合成的 . 我的第一次尝试如下 . entity alu is port ( a : in integer; -- first in...

热门问题