首页 文章

VHDL中的信号向量分配

提问于
浏览
0

我在架构中有这些信号:

signal sign1: STD_LOGIC_VECTOR (21 downto 0); 
signal sign2: STD_LOGIC;

然后在某个过程中我有这个任务 . 这是什么意思? sign2会有什么 Value ?向量的第21位?

sign2 <= sign1(21);

1 回答

  • 1

    是 . 您将sign1的最左位分配给sign2 .

相关问题