首页 文章
  • 1 votes
     answers
     views

    4位二进制数乘数3(mod 16)

    我在过去的一篇论文中有一个问题,要求将设计作为最小化的产品总和,并且仅使用与非门,一个采用4位二进制输入并将该数乘以3的模块(模16) 这是我得出的真值表 Inputs Outputs w x y z | a b c d 0 0 0 0 | 0 0 0 0 0 0 0 1 | 0 0 1 1 0 0 1 0 | 0 1 1 0 0 0 1 1 | 1 1 0 0 0 1 0 0 | 1 ...
  • 6 votes
     answers
     views

    如何处理数字逻辑模拟器中的循环?

    我'm developing a digital logic simulator to build my own CPU in it later (so it'是一个长期项目) . 一切都适用于没有环路的电路,例如fulladder . 然后是像SR锁存器那样的电路,其中门的一个输入连接到另一个门的输出 . 所以我处于循环中,因为两个门都需要另一个门的输出,以计算自己的输出 .解决这个问题的最佳方...
  • 0 votes
     answers
     views

    一个组合电路,接受一个4位数字并产生一个3位二进制数输出,该输出近似于该数字的平方根

    设计一个组合电路,接受一个4位数字并生成一个3位二进制数输出,该输出近似于该数字的平方根 . 例如,如果平方根为3.5或更大,则给出结果为4.如果平方根<3.5且≥2.5,则给出3的结果 . 我输入的真值表是这样的吗? (我使用A,B,C,D作为输入) INPUTS OUTPUTS Decimal - Square Root Value __________ __...
  • 0 votes
     answers
     views

    输出二进制输入平方的电路设计

    因此,对于我的数字逻辑课程,我们被要求设计一个具有3个输入的组合电路,以及一个产生二进制输入的平方的输出 . 我认为她的意思是输入是3位二进制数0-7 . 在描述解决方案时,她提到了3个一般步骤1.找到真值表,2 . 得出函数和3.简化 我完全理解当输出是单个函数时如何做到这一点(结合真函数的实例,简化等) . 在我们唯一相关的例子中,我们输入BCD数字0-9并输出它们的超额3当量,然后简化4个输...

热门问题