首页 文章
  • 1 votes
     answers
     views

    4位二进制数乘数3(mod 16)

    我在过去的一篇论文中有一个问题,要求将设计作为最小化的产品总和,并且仅使用与非门,一个采用4位二进制输入并将该数乘以3的模块(模16) 这是我得出的真值表 Inputs Outputs w x y z | a b c d 0 0 0 0 | 0 0 0 0 0 0 0 1 | 0 0 1 1 0 0 1 0 | 0 1 1 0 0 0 1 1 | 1 1 0 0 0 1 0 0 | 1 ...
  • 6 votes
     answers
     views

    如何处理数字逻辑模拟器中的循环?

    我'm developing a digital logic simulator to build my own CPU in it later (so it'是一个长期项目) . 一切都适用于没有环路的电路,例如fulladder . 然后是像SR锁存器那样的电路,其中门的一个输入连接到另一个门的输出 . 所以我处于循环中,因为两个门都需要另一个门的输出,以计算自己的输出 .解决这个问题的最佳方...
  • 21 votes
     answers
     views

    为什么按位运算比旧微处理器上的加/减操作稍快?

    我今天看到了这段摘录: 在大多数较旧的微处理器上,按位运算比加法和减法运算稍快,并且通常比乘法和除法运算快得多 . 在现代体系结构中,情况并非如此:按位运算通常与添加速度相同(尽管仍然比乘法更快) . 我很好奇为什么按位操作比旧微处理器上的加/减操作稍快一些 . 我能想到的只会导致延迟的是,实现加/减的电路取决于几级逻辑门(并行加法器和诸如此类的东西),而按位运算则具有更简单的电路实现 . 这...
  • 0 votes
     answers
     views

    一个组合电路,接受一个4位数字并产生一个3位二进制数输出,该输出近似于该数字的平方根

    设计一个组合电路,接受一个4位数字并生成一个3位二进制数输出,该输出近似于该数字的平方根 . 例如,如果平方根为3.5或更大,则给出结果为4.如果平方根<3.5且≥2.5,则给出3的结果 . 我输入的真值表是这样的吗? (我使用A,B,C,D作为输入) INPUTS OUTPUTS Decimal - Square Root Value __________ __...
  • 1 votes
     answers
     views

    在数字电路设计中使用操作码

    我正在研究一种使用逻辑门执行基本操作(如加法和减法)的电路 . 现在,它需要3个输入,两个4位数字和一个3位操作码,指示要执行的操作 . 似乎3-8解码器在这里是个好主意 . 这是我的样机! 为了给出更多的上下文,这是我的加法器电路的样子() . 我设计它采取两个4位数字X和Y: 然而,我感到困惑的是,我必须向处理它各自操作的每个电路提供4个输入或4个电线(, - ,=等) . 它似乎只将一根...
  • 0 votes
     answers
     views

    输出二进制输入平方的电路设计

    因此,对于我的数字逻辑课程,我们被要求设计一个具有3个输入的组合电路,以及一个产生二进制输入的平方的输出 . 我认为她的意思是输入是3位二进制数0-7 . 在描述解决方案时,她提到了3个一般步骤1.找到真值表,2 . 得出函数和3.简化 我完全理解当输出是单个函数时如何做到这一点(结合真函数的实例,简化等) . 在我们唯一相关的例子中,我们输入BCD数字0-9并输出它们的超额3当量,然后简化4个输...
  • 2 votes
     answers
     views

    如何在Xilinx中定义时钟输入

    嘿,我几乎没有Xilinx的经验 . 我有一个数字逻辑课程的小组项目即将到期,我的合作伙伴,本来应该照顾Xilinx模拟决定保释我 . 所以我在这里试着在最后一分钟弄明白 . 我使用几个JK触发器设计了一个同步计数器,我需要为FJKC定义CLK输入 . 我已经制定了正确的原理图,但我无法弄清楚如何定义时钟输入 . 任何帮助表示赞赏,是的,这是作业 . 我在网上找不到任何基本的xilinx文档/教程...
  • 0 votes
     answers
     views

    二进制数中最长的连续1的长度

    我需要实现一个带有逻辑门的数字逻辑电路,例如AND,OR,NOT,ADDER(等等......),它获得一个8位二进制数,并返回输入中最长连续1的数 . 例如: 11110011 - 将返回4 10101111 - 也将返回4 01111111 - 将返回7 我真的很感激一些帮助,因为我几天都在苦苦寻找解决这个问题的方法 . 谢谢!
  • 0 votes
     answers
     views

    多路8位寄存器连接到同一输出(VHDL)

    我使用两个3位地址寄存器创建64字节RAM,使用两个3to8解码器创建3位寄存器的交叉开关 . 这是VHDL代码: library ieee; use ieee.std_logic_1164.all; entity ram88 is port(a : in std_logic_vector (2 downto 0); s0: in std_logic; s1: i...
  • 0 votes
     answers
     views

    VHDL:4位加法器和减法器中的错误结果

    我想用VHDL制作一个4位加法器和减法器我创建了1位全加器,XOR门(用于减法)和一个4位加法器,如下所示: 全加法器: LIBRARY ieee; USE ieee.std_logic_1164.all; ENTITY FullAdder_1_Bit IS PORT( X, Y : IN STD_LOGIC; CIn : IN STD_LOGIC; Sum : ...
  • 1 votes
     answers
     views

    Arduino数字引脚HIGH LOW输出似乎被反转

    去年我写了一段代码,当时效果很好 . 但是,这次加载相同的代码我得到反转输出 . 也就是说,当数字引脚设置为高电平时,它会返回低电平,反之亦然 . digitalWrite(led, HIGH) //PROBLEM: Should turn ON the LED but insted it turns OFF 我已经尝试了BLINK EXAMPLE,在这种情况下,输出似乎也是相反的 . 这是代码...

热门问题