首页 文章
  • 1 votes
     answers
     views

    4位二进制数乘数3(mod 16)

    我在过去的一篇论文中有一个问题,要求将设计作为最小化的产品总和,并且仅使用与非门,一个采用4位二进制输入并将该数乘以3的模块(模16) 这是我得出的真值表 Inputs Outputs w x y z | a b c d 0 0 0 0 | 0 0 0 0 0 0 0 1 | 0 0 1 1 0 0 1 0 | 0 1 1 0 0 0 1 1 | 1 1 0 0 0 1 0 0 | 1 ...
  • 0 votes
     answers
     views

    输出二进制输入平方的电路设计

    因此,对于我的数字逻辑课程,我们被要求设计一个具有3个输入的组合电路,以及一个产生二进制输入的平方的输出 . 我认为她的意思是输入是3位二进制数0-7 . 在描述解决方案时,她提到了3个一般步骤1.找到真值表,2 . 得出函数和3.简化 我完全理解当输出是单个函数时如何做到这一点(结合真函数的实例,简化等) . 在我们唯一相关的例子中,我们输入BCD数字0-9并输出它们的超额3当量,然后简化4个输...

热门问题