首页 文章
  • 2 votes
     answers
     views

    Pytorch到Keras代码等价

    鉴于PyTorch中的代码如下,Keras的等价物是什么? class Network(nn.Module): def __init__(self, state_size, action_size): super(Network, self).__init__() # Inputs = 5, Outputs = 3, Hidden = 30 ...
  • 0 votes
     answers
     views

    Verilog Reg / Wire混乱

    我在Verilog中创建了一个由Datapath和Control组成的多周期CPU . 控制(状态机)的输出是寄存器,但数据路径之间的连接是电线 . 如果应该有线信号(在伪代码中): wire = OR(wire coming from a mux, reg output from control) ,我该怎么做?你可以在Verilog中使用带有reg的电线吗?如果没有,是否有更好的方法来实现这一...
  • 0 votes
     answers
     views

    在pytorch中分配变量

    I'd like to know if it is possble to the following code, but now using pytorch, where dtype = torch.cuda.FloatTensor. There's the code straight python (using numpy): 基本上我想得到x的值,它产生适应度的最小值 . import num...
  • 0 votes
     answers
     views

    pytorch lstm tutorial初始化变量

    我正在浏览lstm的pytorch教程,这里是他们使用的代码: lstm = nn.LSTM(3, 3) # Input dim is 3, output dim is 3 inputs = [autograd.Variable(torch.randn((1, 3))) for _ in range(5)] # make a sequence of length 5 # ...
  • 0 votes
     answers
     views

    Pytorch中的自定义数据集和数据加载器

    如果我的数据具有可变长度的音素,如何在Pytorch中制作自定义数据集和数据加载器,因为Pytorch内置数据集和数据加载器在这种情况下不起作用 . 如何让他们的批次在CNN上训练这样的数据?
  • 1 votes
     answers
     views

    PyTorch:RuntimeError:变量元组的元素0是易失性的

    我正在PyTorch 0.3.1中训练基于LSTM的模型 . 我的问题是,在提高学习率后,我总是得到一个 RuntimeError 说: element 0 of variables tuple is volatile . 这不是在开始时发生的,而是在经过一些训练后,如在第3,第4,第5纪......等 . 在查看此错误时,我发现this Question on Stackoverflow建议使...
  • 0 votes
     answers
     views

    pytorch中的tensorflow stop_gradient等价物

    什么是 tf.stop_gradient() 等效(在反向传播期间提供了一种不计算某些变量的梯度的方法)?
  • 4 votes
     answers
     views

    为什么tensor.view()在pytorch中不起作用?

    我有以下代码 . embedded = self.embedding(input).view(1, 1, -1) embedded = self.drop(embedded) print(embedded[0].size(), hidden[0].size()) concatenated_output = torch.cat((embedded[0], hidden[0]), 1) 代码的最后一...
  • 1 votes
     answers
     views

    如何用pytorch中的SGD成功训练一个简单的线性回归模型?

    我试图用SGD在pytorch中训练一个简单的多项式线性回归模型 . 我写了一些自包含(我认为是非常简单的代码),然而,由于某种原因,我的模型没有按照我的想法进行训练 . 我从正弦曲线中采样了5个点,并尝试用4度多项式拟合它 . 这是一个凸问题,所以只要我们有足够的迭代和足够小的步长,GD或SGD应该找到零列车误差的解决方案 . 尺寸 . 出于某种原因,我的模型不能很好地训练(即使它似乎正在改变模...
  • 0 votes
     answers
     views

    GPU利用率如何在神经网络训练的背景下工作?

    我正在使用带有Deep Learning AMI(DLAMI)的AWS p3.2xlarge实例 . 这个实例有一个Tesla V100(640个Tensor核心和5,120个CUDA核心) . 当我运行PyTorch Seq2Seq Jupyter笔记本时,我注意到只使用了25%的GPU . 我使用以下命令 watch -n 1 nvidia-smi 监控GPU使用情况 . 我的问题是,是什么决...
  • 13 votes
     answers
     views

    为什么我们在pytorch中“打包”序列?

    我试图复制How to use packing for variable-length sequence inputs for rnn但我想我首先需要理解为什么我们需要"pack"序列 . 我理解为什么我们需要"pad"但是为什么"packing"(通过 pack_padded_sequence )是必要的? 任何高级别的解释将不胜感激!...
  • 1 votes
     answers
     views

    获取pytorch数据集的子集

    我有一个网络,我想在一些数据集上训练(例如,说 CIFAR10 ) . 我可以通过创建数据加载器对象 trainset = torchvision.datasets.CIFAR10(root='./data', train=True, download=True, transform=transform) trainl...
  • 0 votes
     answers
     views

    Verilog Signed Multiplication“失去”有符号位

    我正在编写一个使用乘法器模块的代码,当其中一个输入是负数时,它会返回奇怪的答案 . 我猜这与Verilog如何处理已签名的数字有关,而且该模块没有正确地将结果存储在'reg signed out'decleration中 . 我所有的输入/输出/连线/注册声明都是签名的,所以我不确定我错过了什么以及我需要做些什么来告诉Verilog来处理这个问题 . 对不起初学者的问题! 例如, 当X [0]为1...
  • 3 votes
     answers
     views

    使用System-Verilog进行串行测试和断言

    我有一个verilog模块的串行输出,我想使用system-verilog来测试testbench . 输出被称为'SO'将输出类似8'hC6的东西,给出正确的串行输入'SI',其值为8'h9A . 是否有一种简单的方法来编码/解码串行IO而无需明确描述每个信号? 例如: assert property @(posedge clk) $rose(EN) |-> ##[1:3] SI ##1 ...
  • 0 votes
     answers
     views

    顶级测试平台SystemVerilog中的时钟生成

    我只是尝试了一个SystemVerilog示例 . 最高级别的测试平台如下所示: module top(); // `timescale 1ns/1ps reg_intf intfc(.clk(Clk)); register_m dut (intfc); register_test_m (intfc); bit Clk = 0; initial fo...
  • 11 votes
     answers
     views

    verilog模块中reg和wire之间的区别是什么

    reg和wire之间有什么区别?我们什么时候应该使用reg以及何时应该在verilog模块中使用wire . 我有时也注意到输出再次被声明为reg . 例如,在D触发器中的reg Q.我已经在某处读过 - “程序赋值语句的目标输出必须是reg数据类型 . ”什么是程序转让声明?我已经彻底搜索了这个,但未能找到明确的解释 .
  • 2 votes
     answers
     views

    Verilog在不改变内部状态的情况下对模块输出施加力

    在我的测试平台中,我想通过在RTL中强制某个模块的输出来模拟系统条件: force DUT.driving_module.xx = 0; 但是当使用force命令执行此操作时,也会强制驱动模块内部输出的导线,这会导致系统的其他部分也受到影响 . 我真正需要的是强制模块的输出,而不改变其内部状态,如下所示: 我根本无法修改RTL代码 . 有没有办法从测试平台实现这一目标?
  • 0 votes
     answers
     views

    Stata将回归系数保存为Excel表格

    运行回归后,我想在Excel电子表格中保存输出(变量名称,系数,标准误差和P值) . 当我尝试这样做时,我只得到系数 . 这是我的尝试 . 请注意我使用的是无法访问互联网的计算机,因此无法使用外部库 . local regressors x1 x2 x3 logit y `regressors' putexcel set output_table.xlsx, replace putexcel A1...
  • 0 votes
     answers
     views

    为包含接口的System Verilog DUT创建Verilog包装器

    基本上,我有一个系统verilog设计,我需要集成在verilog的模拟框架中 . 所以我需要创建一个包装器以便与DUT接口,但是在尝试这样做时遇到了问题 . DUT的接口有一个接口块以及其他输入和输出 . DUT的接口如下所示 interface ifc(input clk, input rst); logic [`DATA_WIDTH-1:0] data; logic ...
  • 0 votes
     answers
     views

    意外的高阻抗状态

    我在模块的输出端口遇到了意外的高阻抗状态问题 . 实例化其他模块的模块代码如下: `timescale 1ns/1ps module column( input wire clk, input wire reset, input wire trigger, input wire [7:0] latency ); localparam AMOUNT_OF_...
  • 1 votes
     answers
     views

    如何在System Verilog中实例化

    我试图在System Verilog中实例化一个模块 . 它在Modelsim中编译没有问题 . 当我试图模拟测试平台时,它说 . # Loading work.testbench_serial_reader # ** Error: (vsim-3033) C:/Modeltech_pe_edu_10.4a/examples/Serial_Read.sv(30): Instantiation of...
  • 0 votes
     answers
     views

    在Quartus中使用非零索引存储器(Verilog)

    我正在为一个基本的16位教育CPU编写一个内存系统,并且遇到了我的模块的Quartus Synthesis问题 . 具体来说,我已经将地址空间分解为几个不同的部分,其中一个(这是一个ROM)没有正确合成 . (注意:我正在合成DE2-115 Altera板,QuartusII 12.1,SystermVerilog代码) 因此,为了使内存映射VRAM(双端口的内存模块允许VGA输出,而CPU写入...
  • 1 votes
     answers
     views

    Verilog:使用casex进行合成

    我想要实现一个并行的case块,它将检查16位寄存器的值 . 在某些情况下,我需要它来检查所有16位 . 但是,在其他人中,我只需要检查几个 . casex 适合这种情况吗?如果你还没有推断, it is to be synthesized . 它是一台连接到指令寄存器的Moore机器 . 指令是16位宽 . 对于某些指令,例如 mov ,除了寄存器/存储器寻址不同之外,机器状态完全相同 ....
  • 1 votes
     answers
     views

    Quartus II支持line.all吗?

    我在编译时实现了一些VHDL代码来导出FSM状态编码,可以通过Xilinx ChipScope读回 . 此功能通过Xilinx ISE 14.7,iSim 14.7和Mentor Graphic的QuestaSim 10.2c进行测试 . 我的设计可以与Altera FPGA的替代顶级电路合成,但Quartus II似乎与 return line.all; 有问题 . Quartus II (14...
  • -2 votes
     answers
     views

    Verilog中溢出的8位ALU

    创建一个名为eightbit palu的Verilog模块,它有两个8位输入,a和b,以及一个2位输入,sel . 该模块的输出是8位信号f和1位信号ovf . 这些输出的值应根据确定操作的sel信号值而改变 . **s[1:0] f[7:0] ovf Description** 0 0 a + b (add) overflow ...
  • 3 votes
     answers
     views

    系统Verilog仿真与执行

    关于SystemVerilog(SV)用于编程芯片和模拟SV代码的问题很多 . 语言结构的这种经济性给我带来了一些困惑:SV参考文献的第9.2.2节说明 “总有四种形式的程序:always,always_comb,always_latch和always_ff . 所有形式的always程序在整个模拟过程中不断重复 . ” 当然,这些结构当然也指定了组合逻辑和锁存逻辑的创建 . 因此,SV标准主要针...
  • 0 votes
     answers
     views

    使用Modelsim(VHDL)模拟INOUT端口

    我试图用Altera的Quartus和Modelsim模拟RAM内存 . 问题在于,当我在测试台中为data_inout分配值并进行模拟时,波总是处于'U'状态 . 当我执行data_inout <=“0000000000001010”时,它不会取任何值;例如,如果我使用data_inout <= aux_data;我想要的只是测试它在数组上写一些值,然后阅读它们进行大学练习,没什么特...
  • 2 votes
     answers
     views

    SystemVerilog的问题是否具有非阻塞分配的循环?

    当我在基于SystemVerilog的FPGA设计工作时,我遇到了一种情况,我必须在时钟边缘计算4个元素的数组之和 . 我能够使用带有非阻塞赋值语句的for循环来做到这一点 . 该设计在Quartus 15.0上成功合成,但当我尝试在Modelsim Altera上使用相同的RTL运行模拟时,结果出乎意料 . 我已经编写了一个示例代码来说明相同的内容 . module schedule; log...
  • 0 votes
     answers
     views

    在Verilog中使用略微偏斜的时钟同步两台状态机的正确方法

    我正在Verilog中实现ADC的接收器 . 在每个21个时钟周期之后获得一个样本 . 接收器为ADC生成控制信号以及占空比的采样时钟 . ADC按顺序发送数据,但为了说明延迟,它还会发回一个占空比的采样时钟 skew matched copy . 该时钟用于计时数据 . 该代码应该适用于两个时钟之间的零延迟以及更大的延迟 . (但延迟不会超过几个时钟周期) . 我不知道最好的方法,因为: ...
  • 19 votes
     answers
     views

    RAM DEPTH的地址宽度

    我正在实现一个可配置的DPRAM,其中RAM DEPTH是参数 . 如何从RAM DEPTH确定ADDRESS WIDTH? 我知道关系RAM DEPTH = 2 ^(ADDRESS WIDTH) 即ADDRESS WIDTH = log(base 2)RAM DEPTH . 如何在Verilog中实现log(base 2)函数?

热门问题